尊龙官网手机

任意波发生器基础

作者:admin 发布时间:2024-02-04

  DAC和ADC一样,也需要满足奈奎斯特采样定理,即转换速率(采样速率)需要满足:

  用一个理想的奈奎斯特滤波器进行滤波,可完整重建数字形式域的信号波形,相当于在实际采样点之间进行插值,插值后的信号经过DAC转换后,可完整重建模拟信号。理想奈奎斯特滤波器的频域特性是带宽为Fs/2的砖墙低通滤波器;时域特性是Sinc函数。

  上面这些图从时域和频域角度展示了DAC转换前后波形的特征。对于一个带限信号,纯数字化的信号的频谱是周期拓展的,但是理想DAC实际输出的波形的频谱却不是周期拓展的。因为数字化的信号相当于与一脉宽为1/Fs的脉冲进行了时域卷积,在频域中相当于与一个Sinc函数进行了乘积运算,所以会有许多旁瓣产生。可以采用内插的方式在数字域里滤除拓展的频谱,也可以采用比较好的模拟滤波器在模拟域里滤除拓展的频谱,或者采用组合的方式进行滤除。刚好满足奈奎斯特采样定理的波形重建实际上是比较困难的。

  真任意波架构如上图所示,样本被一个接着一个从内存中读取,DAC把它们转换成模拟信号,使用使用者设置的固定采样速率。内存的数据读取速度由采样率决定,内存的数据被顺序地读取。

  直接数字合成即DDS架构如上图所示,这里DAC工作在固定的采样速率,使用者控制存储在内存里的波形的重复速率。对于每个DAC的时钟周期,通过改变相位累加器的相位值,去确定内存的接入地址。内存数据不需要顺序读出。这种架构允许无缝改变存储在内存中波形的重复频率,允许直接频率扫描或PM/FM调制信号的产生。因为这个原因,DDS成为流行的函数发生器和低端任意波发生器的常用架构。

  内存DAC的架构要求DAC的采样率很高,高于内存的读取速率。内插DAC架构是在波形读取器件和DAC器件之间增加一个内插DSP处理器,内插函数可以是线性内插,或FIR低通滤波器内插。这种架构的益处是不需要太快的内存接入速度,可以达到高品质信号质量。但是最大频率分量仍然受限于内存接入速度,而不是DAC采样速率。

  伪内存AWG架构通过组合两通道AWG或2个DAC,实现等效采样率加倍的目的。两通道间的时差必须是采样周期的一半;样点分成奇数点和偶数点,分别存在各个通道中。这种技术有效地扩展了可用的频率范围,也能够提升每个DAC的信噪比(由于两个通道的DAC的噪声是不相参的),但是信号品质对时序精度和通道频响失配都非常敏感。

  上图是用两种不同波形内存设置来产生一个三角波。a的时间分辨率低于b。时间间隔误差TIE图表明较高分辨率波形具有较低的失真,虽然输出的采样速率是一样的。

  上图是真任意波AWG的信号处理过程。存储在内存里的原始信号是较高采样率的,内插低通滤波器增加点的密度(也可以直接存储更高采样率的波形),DAC输出的波形产生带快信号的模拟信号。

  量化噪声能够作为采样波形自身进行分析。量化噪声波形的上下边界是+-1/2LSB,时间上与波形一致。输人波形的幅度可能超越1/2 LSB(a),仍然保持边界错误条件(b)。

  过采样DAC能够提升信号到量化噪声比。理论上的分辨率提升(以位数表示)可由下面公式得到:

  量化噪声拓展到完整的奈奎斯特带宽。对于一个带限信号,通过增加采样率可以减少噪声功率谱密度,由于同样的功率被分配到更大的带宽上。这种效果可被内插DAC架构的AWG利用。

收缩